1 Ostatnio edytowany przez Candle (2009-12-01 19:11:17)

Jako ze Coriny dlugo nie bylo, a ja pracowalem nad czyms innym (rozszerzeniem pamieci wraz z sdx i rtc, ktore by sie wkladalo a nie lutowalo), a na atarionline pojawila sie informacja o Pangu i tym, ze Tebe nie chce przerabiac Panga na Corine wymyslilem cos prostego - dostep do D501 dla przelaczania bankow tak ROM (FLASH) jak i RAM, 512k Flash, 512k SRAM, calosc reprogramowalna z poziomu Atari
policzylem koszty - wyslalem notke Nostemu, czy jest zainteresowany - projekt chcialem oddac za darmo - nie byl, bo Corina tusz tusz
coz... i tak sobie zrobilem :)

Cart jest calkowicie rekonfigurowalny - tak ilosc pamieci RAM i ROM moze sie zmieniac w zaleznosci od potrzeb, jak i jego zachowanie funkcjonalne - wlacznie ze sposobem bankowania

Trub zobowiazal sie napisac flasher dla tego mojego cusia, a ja moge zobowiazac sie rozdac 3 sztuki wsrod developerow (wyprodukowano 6 sztuk - jedna idzie do Truba, jedna dla mnie, druga gola plytka dla mnie do portfolio, no i zostaje 3)

A tak to wyglada:

http://spiflash.org/proto/foocart.jpg

przechodze na tumiwisizm

2

Zainteresowanie z mojej strony jest, niestety - póki co brak środków. Możesz podać jakieś szczegóły (ewentualnie na priv, jeśli nie chcesz czegoś rozpowszechniać)?

Sikor umarł...

3

nie mam nic do ukrycia - egzemplarze dla developerow kosztuja 25zl + wysylka (cena pcb + xlinixa i regulatora LDO (od spodu)
nie placicie za ram i rom bo te mam w dosc duzych ilosciach i nie musze ich kupowac
co prawda rom to tylko 020 (256k) a pamiec bedzie albo 512k albo 128k ale to wystarczy zeby wyprobowac zabawke ;]

acha - tylko badzcie samokrytyczni z tymi "developerami" - wymagam, by ktos kto takie cos chce mial jakis dorobek jesli chdzi o wydawanie czy pisanie gier

wiem ze 3 sztuki to niewiele, ale cale zamowienie to prawie 400zl i zwyczajnie nie moglem sobie pozwolic na wiecej

przechodze na tumiwisizm

4

Cena zacna i chętnie bym się pisał, ale moje "zdolności programistyczne" niestety w tej chwili mogą być niewystarczające. Tym niemniej - jeśli się nikt nie zgłosi poproszę o zarezerwowanie jednej sztuki póki co, a w wypadku ewentualnego domawiania płytek - poproszę o sztuk 3. Taką sumę pewnie jeszcze jestem w stanie wysupłać.

Sikor umarł...

5

i tak trzeba banki zmienic z 4000..7fff na 8000..9fff

*- TeBe/Madteam
3x Atari 130XE, SDX, CPU 65816, 2x VBXE, 2x IDE Plus rev. C

6

Candle.... na te cacko gdy ten flasher powstanie bedzie mozna ładowac stare gierki ? ...( kilka nascie sztuk) ?

"wszystko się kiedyś kończy......."

7

Candle gratuluje!
Tak jak Ci pisalem - zainteresowanie z mojej strony bylo. Tyle, ze nie zmienia sie konia na 5 minut przed koncem wyscigu.
W Corine i ja i inni ludzie zainwestowali mase pracy, czasu i pieniedzy. Sa dwie gry przygotowane na tą platforme, powstaje trzecia.
Tak sie mowi: hop siup i nowy cartridge. Ale dostosowanie gry, testy, itp itd trwaja tygodniami.

Jestem jednak pelen podziwu dla prostoty projektu, przy zachowaniu uniwersalnosci. No i dla szybkosci powstania gotowych egzemplarzy.
Kazdy nowy "nosnik" jest cenny dla wszystkich piszacych i wydajacych gry :)

8

bebe: marudzisz
stryker: jak najbardziej - do tego wystarczy wersja bez pamieci ram
nosty: rozumie, sam wiem najlepiej ile to kosztuje - ale ja jestem sam ;)

przechodze na tumiwisizm

9 Ostatnio edytowany przez pajero (2009-08-06 21:13:33)

Ja się piszę na 1szt. ofkoz !
A Tebe chcesz ?

A Sikor to chyba za dużo chce. Candle - limituj!


Candle napisał/a:

....czyms innym (rozszerzeniem pamieci wraz z sdx i rtc, ktore by sie wkladalo a nie lutowalo), ....  cos prostego - dostep do D501 dla przelaczania bankow tak ROM (FLASH) jak i RAM, 512k Flash, 512k SRAM,

sdx i rtc ?
rozszerzenie pamięci?   D301 <> D501

10

w koncu mialem kwilke zeby pchanc to kawalek dalej - narazie to odapala sie "asteroids" :)
dzieki pozawracaniu dupska Sebanowi udalo sie ustalic co jest nie halo - wystapil czynnik Gamma (Zaxon wie co to za czynnik :D)

na http://ftp.pigwa.net/stuff/collections/ … -%20Games/ sa rowniez wieksze obrazy niz 8k - jesli ktos mogłby napisac jak sa mapowane, to chetnie je supportne ;)

przechodze na tumiwisizm

11

http://www.atarimax.com/jindroush.atari.org/acarts.html

dużo pracy do wykonania ;)

12

No tak, czynnik Gamma to niefart na calej lini, z reguly wlasnie konczy sie na zawracaniu glowy osobom bogu ducha winnymi miłym wieczorem bądź nocą spędzona  na wykrywaniu  . Juz wiesz czemu Zaxon lubi czerwien? Bo mu sie lepiej optycznie wykrywa czynnik gamma.Hehe

Dwa korce ziemniaków, gęsich jajek kopa, żeby móc to połknąć, tęgiego trza chłopa. GG3456993

13

czynnik gamma widac w dowolnym kolorze soldermaski - niewatpliwie najlepiej widac go jesli ten kolor jest przezroczysty, ale to chyba nie o to w tym wszystkim chodzi ;)
najzabawniejsze jest to ze ostatnimi czasy czynnik gamma wystepuje tylko na plytkach ktore wezme do skladania prototypu, a pozostale wykonywane w takiej partii sa zazwyczaj ok
czyzby cos chcialo mi powiedziec "zmien wykonawce pcb"? :D

tak czy inaczej to znow wielkie dzieki dla Sebana, a co do ilosci pracy - to raczej hobbystycznie do tego podchodze, patrzac co faktycznie jestem w stanie zaemulowac tym moim cart'em
w tej chwili na tapecie jest xegs super cart (128k) i musze przyznac ze swoboda jaka ten projekt daje jest nadzwyczajna
xegs super cart zajmuje okolo 20% zasobow ukladu cpld

przechodze na tumiwisizm

14

hehe ;) 8% ukladu zajete i crime busters lata :D

po malych optymalizacjach:

CS0<='1';
RD4<='1';
RD5<='1';
WR0<='1';
WR1<='1';

AB(3 downto 0)<=BA when s4='0' else "1111";
AB(5 downto 4)<="00";
ROM<=S4 AND S5;

CLK<=NOT (NOT CCTL AND PHI2);
RD<=NOT (PHI2 AND RW);

Bank_Address:    process (clk,DataIn)
    begin
        if    rising_edge(clk)    then
            BA<=DataIn(3 downto 0);
        end if;
    end process;
   
i wsio :D

przechodze na tumiwisizm

15

No to jeszcze tylko jakis uczciwy loader ktory generuje ATR do flashowania carta z dowolnego pliku  i Atarimax wypada z rynku.

Dwa korce ziemniaków, gęsich jajek kopa, żeby móc to połknąć, tęgiego trza chłopa. GG3456993

16

SDX v4.42 w wersji 256k:

CS0<='1';
RD4<='0';
WR0<='1';
WR1<='1';

AB(0)<=NOT BA(0);
AB(1)<=NOT BA(1);
AB(2)<=NOT BA(2);
AB(3)<=NOT BA(4);
AB(4)<=BA(5);
AB(5)<='0';
ROM<=(S4 AND S5) OR BA(3);
RD5<=NOT BA(3);

CLK<=NOT (NOT CCTL AND PHI2 AND A_Bus(7) AND A_Bus(6));
RD<=NOT (PHI2 AND RW);

Bank_Address:    process (clk,A_bus)
    begin
        if    rising_edge(clk)    then
            BA<=A_Bus(5 downto 0);
        end if;
    end process;

tada :D

9% ukladu zajete ;)

przechodze na tumiwisizm

17

AAAAAAAA, niech go ktos powstrzyma bo zaraz wyda carta z calym dorobkiem atari na raz

Dwa korce ziemniaków, gęsich jajek kopa, żeby móc to połknąć, tęgiego trza chłopa. GG3456993

18

wiesz, Zax, to by nawet nie było takie złe... :D

...byle tylko nie było tam tyle "różnych" wersji każdego pliku jak u Kaza ;-)

___
Press play on tape...

19

Brawo Candle :)

pomidor

20

candle - to moze jeszcze gdzies na dipsłiczach przełączalny typ carta? chyba ze da sie jakoś programowo (np. programując z poziomu atarki cpld)
tj. przełączyć typ carta, wrzucic do jego ramki/flaszki odpowiedni image - i smiga z nowym zestawem.

btw. ram podtrzymywany bateryjnie?

The UNIX Guru`s view of Sex:
unzip; strip; touch; finger; mount; fsck; more; yes; umount; sleep

21 Ostatnio edytowany przez seban (2009-10-26 10:22:55)

Warto było czekać tak taki full programowalny (VHDL/Verilog) uniwersalny cart mający SRAM + FLASH :) Teraz można wszystko na co zmieści się w CPLD :)

Jell: nie bluźnij, jakie dip-switche... możesz wszystko programowo z poziomi Atari.

Seban

22

seban: no same pliki do programowania cpld sa maluczkie, to by mozna z poziomu atarki przeprogramowywac ukladzik ;)

The UNIX Guru`s view of Sex:
unzip; strip; touch; finger; mount; fsck; more; yes; umount; sleep

23

A tymczasem...

MaxFlash w wersji 8mbit (gorne 4mbit zamapowane na RAM SuperCarta)

RD4<='0';
WR0<=NOT (PHI2 AND RW);
WR1<=NOT (PHI2 AND RW);

AB<=BA(5 downto 0);
ROM<=S5 OR BA(6);
CS0<=S5 OR NOT BA(6);
RD5<=NOT BA(7);

CLK<=NOT (NOT CCTL AND PHI2);
RD<=NOT (PHI2 AND RW);

Bank_Address:    process (clk,A_bus)
    begin
        if    rising_edge(clk)    then
            BA<=A_Bus(7 downto 0);
        end if;
    end process;

oczywiscie calosc jest flashowalna ;)

przechodze na tumiwisizm

24

wow, a kiedy to kupimy?

Hitler, Stalin, totalniak, SSman, NKWDzista, kaczor dyktator, za długo byłem w ChRL, wypowiadam się afektywnie.

25

nigdy
do lizania przez szybke - nie ma chetnych

przechodze na tumiwisizm