2,301

(199 odpowiedzi, napisanych Fabryka - 8bit)

roznica polega na tym, ze przy 0 (standardowo) na DAC'ach w SS jest 6V - czyli w odniesieniu do wzmacniacza 0V, natomiast jak mu dac tam tak jak u pajero 0V, to wzmacniacz zobaczy -6V i dzwiek bedzie bardzo sciszony
dla urozmaicenia powiem ze dla v3 VREF=8.5V

2,302

(10 odpowiedzi, napisanych Sprzęt - 8bit)

mozna

2,303

(199 odpowiedzi, napisanych Fabryka - 8bit)

proponuje wiec zaczac od swojego ogrodka - sprawdz poprawnosc montazu - skoro jak mniemam obie rzeczy dostales w kicie
zlozone przeze mnie sa testowane, wiec jesli by cokolwiek bylo nie tak zawsze mozna odeslac z atari lub bez abym sprawdzil jeszcze raz

2,304

(199 odpowiedzi, napisanych Fabryka - 8bit)

przy podlaczeniu "na pajero" bedziesz mial spaprany dzwiek z pokeya - jesli o to ci chodzi - gou for it

2,305

(199 odpowiedzi, napisanych Fabryka - 8bit)

na agnd (pin 6) powinno byc 6V, vref (4) powinien miec 5V
nie wiem na jakiej podstawie pajero wyciaga takie wnioski

2,306

(199 odpowiedzi, napisanych Fabryka - 8bit)

moge zaproponowac dwa wyjscia:
wyslanie maszyny do sprawdzenia
wyslanie samego simple stereo (i ewentualnie io-boarda)

2,307

(9 odpowiedzi, napisanych Sprzęt - 16/32bit)

zdjac tylna obudowe i przeczytac co napisali na nalepce na kinolu?
czy to ma byc rozpoznanie przez polaczenie jazni z monitorem?

2,308

(199 odpowiedzi, napisanych Fabryka - 8bit)

mozesz UWAZNIE sprawdzic co jest na nodze 18 TLC7226?
powinno tam byc 12V
jesli zewrzesz ta noge z noga 17, a przypadkiem masz 12V to masz rowniez po Atarce - wiec prosze uwazaj

2,309

(23 odpowiedzi, napisanych Sprzęt - 16/32bit)

mega st ma zlacze DMA w srodku jako 2 rzedy goldpinow - mozna sobie tam podlaczyc satandiska albo ultrasatana - przebij to jesli chodzi o estetyke i ilosc zajetego miejsca ;)

co do samego ramu to opisalem jak to zrobic - nie jest to rocket science
czasu za wiele nie mam, a juz najmniej chcialbym przyjmowac kolejne ludzkie komputery do rozszerzenia
na slasku znajdziesz kogos kto bedzie potrafil przebrnac przez opis z lutownica w reku

2,310

(10 odpowiedzi, napisanych Sprzęt - 8bit)

a covoxem w mono po co mialby przygrywac?
sa covoxy mono?
tak czy owak po wylaczeniu stereo (en_s do masy) 2 pokeye graja to samo

2,311

(10 odpowiedzi, napisanych Sprzęt - 8bit)

opis w sumie byl w temacie ;P

2,312

(10 odpowiedzi, napisanych Sprzęt - 8bit)

spoko, to ja po to robilem funkcje mono, zeby partyzatnke odwalac?
a badz laskaw sprawdzic co sie stanie jak na en_st dasz mase...

2,313

(47 odpowiedzi, napisanych Fabryka - 8bit)

tak, juz jest
nazywa sie ultimate1mb
a to mial byc cart

2,314

(47 odpowiedzi, napisanych Fabryka - 8bit)

no to cos ambitniejszego:

jak wiadomo, carty SDX sa przelotowe - no wiec czemu nie

to poudajmy sobie 4 cartdridge OSS (Action!, Basic XL, Basic XE oraz MAC/65) ktore mozna wlaczyc poleceniem CAR z poziomu SDX

POKE $D5C0,n przelacza cartridge, a polecenie CAR wlacza wybrany
i tak n=0 wlaczy nam Action, n=1 Basic XL itd

cala zabawka zajmuje 28% ukladu, calosc jest flaszowalna z poziomu Atari

RD4_Int<='0';

WR0<=NOT (PHI2 AND NOT RW);
WR1<=NOT (PHI2 AND NOT RW);
RD<=NOT (PHI2 AND RW);
CLK<=NOT CCTL AND PHI2;

ROM<=NOT (NOT S5 AND ENABLED);
CS0<='1';

ROM_Mapper:                process (SDX_REG,OSS_REG,EXTERN)
    begin
        if EXTERN='1' then
            AB(5)<='1';
            AB(4 downto 2)<=CAR_TYPE;
            AB(1)<=OSS_REG(1);
            AB(0)<=OSS_REG(0);
        else
            AB(5)<='0';
            AB(4)<='0';
            AB(3)<=not SDX_REG(4);
            AB(2)<=not SDX_REG(2);
            AB(1)<=not SDX_REG(1);
            AB(0)<=not SDX_REG(0);
        end if;
    end process;
    
CAR_TYPE_Register:    process (clk, A_Bus,DataIN)
    begin
        if A_Bus(7 downto 5)="110" and RW='0' and falling_edge(clk) then
            CAR_TYPE<=DataIN(2 downto 0);
        end if;
    end process;
    
SDX_Register:            process (clk, A_Bus)
    begin
        if A_Bus(7 downto 5)="111" and RW='0' and falling_edge(clk) then
                SDX_REG<=A_Bus(4 downto 0);
            end if;
    end process;

SDX_Mux:                    process (SDX_REG,S4,S5,RD4_Int,RD5_Int)
    begin
        if    SDX_REG(3)='1' and SDX_REG(2)='1' then                    -- "11" - SDX Off, Ext Off
            S4_Int<='1';
            S5_Int<='1';
            RD4<='1';
            RD5<='1';
            EXTERN<='0';
            ENABLED<='0';
        elsif SDX_REG(3)='1' and SDX_REG(2)='0' then                -- "10" - SDX Off, Ext On
            S4_Int<=S4;
            S5_Int<=S5;
            RD4<=RD4_Int;
            RD5<=RD5_Int;
            EXTERN<='1';
            ENABLED<='1';
        else                                                                    -- "01" or "00" - SDX On, Ext Off
            S4_Int<='1';
            S5_Int<='1';
            RD4<='0';
            RD5<='1';
            EXTERN<='0';
            ENABLED<='1';
        end if;
    end process;
    
OSS_Register:            process (clk, A_Bus)
    begin
        if A_Bus(7 downto 5)="000" and RW='0' and falling_edge(clk) then
                OSS_REG(1)<=A_Bus(3);
                OSS_REG(0)<=A_Bus(0);
            end if;
    end process;

OSS_Enable:                process (OSS_REG)
    begin
        if OSS_REG="10" then
            RD5_Int<='0';
        else
            RD5_Int<='1';
        end if;

    end process;

2,315

(18 odpowiedzi, napisanych Sprzęt - 8bit)

a jak doczepisz kondensator rownolegle do fi2 to nie wprowadzi on opoznien?
intrygujace

2,316

(18 odpowiedzi, napisanych Sprzęt - 8bit)

mosz pan recht

2,317

(18 odpowiedzi, napisanych Sprzęt - 8bit)

http://trub.atari8.info/images/cart128flash.jpg
(blad - na schemacie jest !Y0 - powinno byc !Y7)

corina ma jeden rejestr sprzetowy - pod 0xD5FF

zagadka: co bedzie gdy zapiszemy tam cos, a komputer ma sdx?

2,318

(203 odpowiedzi, napisanych Fabryka - 8bit)

bardzo ciesze sie z zainteresowania projektem
to ze w tej chwili poszlo do produkcji 20 sztuk, to nie znaczy ze tylko tyle ich bedzie
obecne plytki  maja charakter partii probnej i beda oddane developerom SDX'a - czesc tez pojdzie dla ludzi ktorym dawno obiecalem takie rozszerzenie (np dely, stryker czy Adam Mowny)
pozostale rozdysponuje wedle wlasnego widzimisie
nastepna partia bedzie juz bardziej produkcyjna - tj bedzie juz ustalona cena, beda porobione kabelki do wpinania w plyte itd itp
firmie od plytek z 6 maja zrobil sie 10ty, tak wiec wiecej konkretow bedzie nieco pozniej

odnosnie interface SPI
tutaj mialem do dyspozycji tylko phi2 jako sygnal taktujacy, co ogranicza szybkosc tej magistrali do szybkosci atarki
w przypadku speeddrive, sygnal zegarowy jest wybierany pomiedzy 1.77 a 50mhz generatorami, do tego dochodza jeszcze preskalery - np dla 25mhz (max dla kart SD) procedury we/wy wygladaja tak:

             sta    SPI_IO  ; tyle zostaje z procedury SPI_DOut

             sta    SPI_IO
             lda    SPI_IO  ; a tyle z SPI_DIn

2,319

(203 odpowiedzi, napisanych Fabryka - 8bit)

poszlo do produkcji - na poczatek 20 sztuk

2,320

(203 odpowiedzi, napisanych Fabryka - 8bit)

na Ultimate nie ma zadnego headera ktory by pozwalal wykozystac wbudowane SPI, a raster ukladu DS1305, do ktorego by trzeba bylo lutowac kabelki to 0.65mm

tutaj przykladowy kod dla RTC

;----------------------------------------------------
;SPI input/output (Ultimate1MB)
; Candle'O'Sin
;----------------------------------------------------

;----------------------------------------------------
; Lables
SPI_IO    equ    0xD5FF
SPI_CS    equ    0xD5FE

;----------------------------------------------------
;SPI_DOut - SPI Data Out - sends a byte through SPI
; interface
; accu - byte to send
SPI_DOut:    sta    SPI_IO
        nop    ; wait 2 cycles
        rts    ; and 6 more - 8 cycles total
;----------------------------------------------------

;----------------------------------------------------
;SPI_DIn - SPI Data In - receives a byte through SPI
; interface
; accu - received byte
SPI_DIn:    sta    SPI_IO    ; dummy write, to start 
                ; SPI clock
        nop
        nop
        nop
        nop        ; wait 8 cycles
        lda    SPI_IO    ; read received byte
        rts
;----------------------------------------------------

;----------------------------------------------------
;SPI_RTCS - SPI RTC Select - selects SPI device (RTC)
; accu - select (1) or unselect (0)
SPI_RTCS:    sta    SPI_CS
        rts
;----------------------------------------------------

;----------------------------------------------------
;RTC read time example

;----------------------------------------------------
;RTC_GetTime - reads secods, minutes and hours from DS1305
RTC_GetTime:    lda    #1
        jsr    SPI_RTCS    ; enable RTC
        lda    #0        ; start from address 0
        jsr    SPI_DOut    ; write address byte
        jsr    SPI_DIn        ; get the seconds
        sta    Seconds
        jsr    SPI_DIn        ; get the minutes
        sta    Minutes
        jsr    SPI_DIn        ; and finally hours
        sta    Hours
        lda    #0
        jsr    SPI_RTCS    ; disable RTC
        rts
Seconds        .byte    0
Minutes        .byte    0
Hours        .byte    0
;----------------------------------------------------

2,321

(203 odpowiedzi, napisanych Fabryka - 8bit)

pajero: bedzie mial cegle v1.0

2,322

(13 odpowiedzi, napisanych Fabryka - 8bit)

uaktualnilem liste o jak dotad zebrane wplaty
jesli ktos zostal pominiety - prosze sie upomniec
na wplaty czekam do 5 maja - jesli ktos wie ze sie nie wyrobi - zwyczajnie prosze o tym napisac, podajac jakas date, w ktorej to nastapi
na niektore czesci trzeba czekac po 8-12 tygodni, wiec jesli cos w tej chwili jest na magazynach, to staram sie to kupic - w tej chwili pieniedzy starczylo na THS8134B i prawie na EP1K50TC144 - cala reszta czeka na swoja kolej :(

uaktualniona lista

2,323

(203 odpowiedzi, napisanych Fabryka - 8bit)

nie ma znaczenia - jedyne co musi posiadac to mmu i rom, bo tam sie wpina
to czego brakuje (np extsel w 1200xl lub MPD w XEGS) zapewnia dajac obu tym maszynkom pelne wsparcie dla PBI

2,324

(203 odpowiedzi, napisanych Fabryka - 8bit)

jest wrajt protekt

no i.. nie tak latwo zapisac cos do zegara
to nie jest tak, ze on wisi na magistrali i zapis pod d5xx spowoduje ze sobie przestawiles godzine
trzeba wykonac przynajmniej 3 sta zeby w ogole cos zapisac i to we wlasciwej kolejnosci i z wlasciwymi danymi po drodze

2,325

(203 odpowiedzi, napisanych Fabryka - 8bit)

nie, pomysl ostatecznie zostal uznany za zbedny ;) (a bateria dusza i pszytka)