1,426

(180 odpowiedzi, napisanych Fabryka - 8bit)

w1lik: ask Jon ;)

1,427

(73 odpowiedzi, napisanych Fabryka - 8bit)

http://spiflash.org/atari/C816-routed.jpg

i po zawodach... ciag dalszy za tydzien lub cos kolo tego

1,428

(283 odpowiedzi, napisanych Fabryka - 8bit)

pewnie w latach 70 gdy te wtyczki byly w sprzedazy, to frezarke cnc 5 osiowa mial co drugi amerykanin w garazu, ze o calej reszcie nie wspomne

to nie jest roket sajens, jasne, bedzie kosztowac, bo jakos nikt za darmo nie zrobi
i bedzie ze stali, bo musi wytrzymac cisnienie robocze i cala serie produkcyjna bez wielkich nadlewek, ale to nie musi byc zastawka serca

koszt typu 25k pln to tylko w polsce - dzieki temu dlugopisy mamy chinskie

1,429

(73 odpowiedzi, napisanych Fabryka - 8bit)

nieaktualny i pewnie podrzucony przez NWO, ale co tam...
spekulowac mozna

1,430

(73 odpowiedzi, napisanych Fabryka - 8bit)

dziś już sobie poleże... tak myślę...

http://spiflash.org/atari/C816-routing-day3.png

1,431

(73 odpowiedzi, napisanych Fabryka - 8bit)

@Laoo
o, w koncu jakis rozsadny glos w dyskusji ;) (i to od atarowca!)=
zapraszam do dalszych spekulacji ;)

1,432

(73 odpowiedzi, napisanych Fabryka - 8bit)

ale przeciez tu nie ma zadnych informacji...

1,433

(73 odpowiedzi, napisanych Fabryka - 8bit)

na szczescie traktowac powaznie mozna tylko wypowiedzi atarowcow, a nie jakis zbieraczy kartridzy od lexmarkow

1,434

(11 odpowiedzi, napisanych Bałagan)

twoja ma 1440x900 i dwa kanaly lvds, oryginalna ma 1366x768 i jeden kanal lvds = nie da rady

1,435

(73 odpowiedzi, napisanych Fabryka - 8bit)

a mama nie mowila zeby nie wierzyc plotkom?

1,436

(73 odpowiedzi, napisanych Fabryka - 8bit)

http://spiflash.org/atari/C816-routing-day2.jpg

na razie bez komentarza ;) jeszcze pare dni

1,437

(283 odpowiedzi, napisanych Fabryka - 8bit)

no wlasnie, nie mozna wyjsc poza swoj ogrodek? trzeba lepic z plasteliny? naprawde? zbyt na 1000szt bardzo latwo znalesc, wystarczy napisac na aage, tutaj juz ja i seban zabieramy 300szt, jeszcze 700

wtyk odb jest jakies 2x dluzszy i 1.5 raza wiekszy od sio, jak bardzo ktos potrzebuje to moge machnac fote troche pozniej, narazie to battery depleted

1,438

(283 odpowiedzi, napisanych Fabryka - 8bit)

widze ze w polsce to wciaz najtansza jest sila robocza...

1,439

(51 odpowiedzi, napisanych Sprzęt - 8bit)

zadne czarnowidztwo, tylko xxl ma klopoty z czytaniem ze zrozumieniem
ulltimate przy kazdym resecie czysci ta pamiec i inicjalizuje po swojemu, bo trzyma tam swoje dane, oraz dane dla sterownika pbi

1,440

(21 odpowiedzi, napisanych Zloty)

62kb ram

1,441

(51 odpowiedzi, napisanych Sprzęt - 8bit)

ultimate juz wykozystuje ten obszar pamieci do swoich celow

1,442

(283 odpowiedzi, napisanych Fabryka - 8bit)

czy moglbym zarezerwowac 200 sztuk jesli cena nie przekroczy 3.5$/sztuka dla mnie do przyszlego projektu?
mysle ze to niezbedne minimum...
wszyscy widze widza same problemy...

1,443

(283 odpowiedzi, napisanych Fabryka - 8bit)

placi sie raz, a za 10 lat wciaz posiada

1,444

(283 odpowiedzi, napisanych Fabryka - 8bit)

ple ple
ja jestem za produkcja na wtryskiwarkach - brud raz, a radosc w nieskonczonosc
trzeba by zalozyc temat na aage, tutaj wiekszosc woli z plasteliny ulepic

1,445

(74 odpowiedzi, napisanych Fabryka - 8bit)

pisze sie normalnie w tym co kto lubie - w moim przypadku asm 6502

1,446

(87 odpowiedzi, napisanych Fabryka - 8bit)

to jest tylko pomoc dla niedowidzacych - np mnie, w szczegolnosci w atari 800 gdzie dioda jest pod klapa

1,447

(8 odpowiedzi, napisanych Sprzęt - 8bit)

kuba: ten kod ktory wkleilem wlasnie taki jest, tj rom to flash, ale cs0 to wlasnie czip selekt kostki sram (watek "w odpowiedzi na corine")
bankowac sobie mozna na wesolo bez zmian w os, os jedyne co sprawdza, to stan lini rd5 (trig3) podczas vblanka, a konkretnie czy wartosc trig3 i trig3s jest taka sama, wiec bankowanie tu nic nie psuje
jedynie przy wylaczeniu cartridga trzeba to robic z sensem (patrz np atariki)

nie wiem kiedy jest liczona suma o ktorej piszecie wprost lub w domniemaniu, ale nie jest ona sprawdzana podczas normalnej pracy systemu
bank w oss (4kb) czy xegs super cart (8k) byl sobie jaki byl raczej z innego powodu

1,448

(8 odpowiedzi, napisanych Sprzęt - 8bit)

pewnie dlatego, ze to malo galowy zapis ;)

tak czy owak to nie, nie ma jednego rejestru
szyna danych i jej zawartosc nie maja zadnego znaczenia
cart reaguje na kazdy dostep do rejonu d5xx przelaczajac banki
i tak d500-d57f przelaczaja banki (fizycznie to sa 2 kostki po 512kb)
dostep do d580-d5ff wylacza cart

1,449

(8 odpowiedzi, napisanych Sprzęt - 8bit)

maxflash 8mbit (1mbit ma po prostu mniej tych aktywnych rejestrow)

RD4<='0';
WR0<=NOT (PHI2 AND RW);
WR1<=NOT (PHI2 AND RW);

AB<=BA(5 downto 0);
ROM<=S5 OR BA(6);
CS0<=S5 OR NOT BA(6);
RD5<=NOT BA(7);

CLK<=NOT (NOT CCTL AND PHI2);
RD<=NOT (PHI2 AND RW);

Bank_Address:    process (clk,A_bus)
    begin
        if    rising_edge(clk)    then
            BA<=A_Bus(7 downto 0);
        end if;
    end process;

1,450

(341 odpowiedzi, napisanych Fabryka - 8bit)

spij za mono - on ma problem z czasem, ja sie wyrabiam ;)