2,851

(544 odpowiedzi, napisanych Fabryka - 8bit)

cos ci sieje i to niezle
wrzuc w czysta atarke i daj znac
wszystkie vbxe (procz pierwszych 4 sztuk) byly testowane - na lcd

2,852

(544 odpowiedzi, napisanych Fabryka - 8bit)

faktycznie nie bylbys z soba
miernik w lapke...
masa na j6 jak i j3 nie jest po to, zeby sluzyc jako powrot dla pradu, ale po to by sluzyc jako ekran dla wiazki kablowej danego zlacza

2,853

(544 odpowiedzi, napisanych Fabryka - 8bit)

przez 2-3 dni byl serwer zadolowany, dotarla tylko od jaquesa i lotharka w formie pocztowej, epi i trub juz z innej bajki
a kiedys.. kiedys bylo dawno, a ja staram sie robic "porzadki na poczcie" na bierzaco...

2,854

(12 odpowiedzi, napisanych Fabryka - 8bit)

polacz kolka tyloma kreskami ile jest w kolku

2,855

(403 odpowiedzi, napisanych Scena - 8bit)

dobrze ze leze - bo moge sie turlac ze smiechu....

2,856

(544 odpowiedzi, napisanych Fabryka - 8bit)

albo masz przypadek z okienka option, albo z podstawowej foty
nie mozesz miec dwoch na raz
ty masz standardowe XE z kwarcem - wiec idzie standardowa VBXE2 dla XE
wylutowujesz kwarc, wlutowujesz na vbxe i koniec

[edit]

dzis polutowalem 630 z 900 czesci jakie ida na pojedynczy panel (15 sztuk vbxe), od poniedzialku moglbym je rozsylac, ale wiecie co?
tylko Jacques i Lotharek, Epi i Trub przyslali adresy do wysylki...

2,857

(544 odpowiedzi, napisanych Fabryka - 8bit)

ogolnie biega o to, ze jesli ma sie taka kwadratowa puszke, to trzeba twardzo mowic ze ma sie XEGS'a - bo w XEGS'ach byly chyba tylko takie puszki (przynajmniej tylko takie tam widzialem) a w XE byly wlasnie kwarce
jednak sa wyjatki - a ja musze inaczej programowac kontroler na plytce VBXE aby wiedzial skad wziasc sygnal zegarowy

jesli macie w swych zasobach wiecej niz 1 komputer XE to pewnie ten drugi bedzie mial zwykly kwarc (taki o ktorym pisze Jacques, czy on zolty, szary czy metalowy to obojetne) to po prostu przelozcie go i tez jest po klopocie

klopot beda mialy ludziki ktore maja tylko jeden komputer, no i trafil sie im akurat taki oscylator, a zapomnialy sie nim pochwalic

2,858

(544 odpowiedzi, napisanych Fabryka - 8bit)

sciaga dla 130/65XE na jednobitowych pamieciach:

http://spiflash.org/files/vbxe20/Guide/Atari130XE1bit.jpg

prosze 3 razy przeczytac tekst na zielono ze zrozumieniem, bo pozniej bedzie ping-pong pocztowy

2,859

(23 odpowiedzi, napisanych Bałagan)

panu zostal odczytany wyrok, po czym dokonaly sie jego zywota?

2,860

(47 odpowiedzi, napisanych Fabryka - 8bit)

george, to jest nosnik, tak jak dyskietka, z tym ze w tej chwili, to ow nosnik wymaga duzo pracy wlasnej i wiedzy zeby z niego skozystac
3 sztuki byly przeznaczone dla developerow - zeby mogli sprawdzic czy takie rozwiazanie im odpowiada, cena byla tez dumpingowa - mimo tego nie bylo chetnych
wiec po co ja mam sobie dup zawracac?

2,861

(47 odpowiedzi, napisanych Fabryka - 8bit)

back nie up
nie ma sensu, za duzo zwarc - 3 na 6 plytek z czynnikiem gamma
trzeba zrobic porzadny routing

2,862

(47 odpowiedzi, napisanych Fabryka - 8bit)

to byl autorouter
v2 jesli powstanie, to z battery backuped ram

2,863

(47 odpowiedzi, napisanych Fabryka - 8bit)

nigdy
do lizania przez szybke - nie ma chetnych

2,864

(47 odpowiedzi, napisanych Fabryka - 8bit)

A tymczasem...

MaxFlash w wersji 8mbit (gorne 4mbit zamapowane na RAM SuperCarta)

RD4<='0';
WR0<=NOT (PHI2 AND RW);
WR1<=NOT (PHI2 AND RW);

AB<=BA(5 downto 0);
ROM<=S5 OR BA(6);
CS0<=S5 OR NOT BA(6);
RD5<=NOT BA(7);

CLK<=NOT (NOT CCTL AND PHI2);
RD<=NOT (PHI2 AND RW);

Bank_Address:    process (clk,A_bus)
    begin
        if    rising_edge(clk)    then
            BA<=A_Bus(7 downto 0);
        end if;
    end process;

oczywiscie calosc jest flashowalna ;)

2,865

(119 odpowiedzi, napisanych Sprzęt - 8bit)

hamuj, bo to zaraz sie okaze via epia ze slotem na karte sd

2,866

(6 odpowiedzi, napisanych Kolekcjonowanie)

ciepla woda z ludwikiem i jakims twardym pedzlem
pozniej mocno splukac prysznicem i wysuszyc w ciepelku
co w podstawkach to powyjmuj, sprzedaj pozniej pare klapsow plycie zeby wytrzepac troche wody

jesli masz dostep do izopropanolu i acetonu to zrob sobie mieszanke 5:1 i umyj tym wczesniej umyta plyte - bedzie wygladac lepiej jak fabryczna

2,867

(403 odpowiedzi, napisanych Scena - 8bit)

hororus: a ty znowu o nieszczesnej komparycji? :) no dajze spokoj ;)

2,868

(119 odpowiedzi, napisanych Sprzęt - 8bit)

czyli pajero ma za duzo czasu? :) moze karty perforowane?

2,869

(47 odpowiedzi, napisanych Fabryka - 8bit)

SDX v4.42 w wersji 256k:

CS0<='1';
RD4<='0';
WR0<='1';
WR1<='1';

AB(0)<=NOT BA(0);
AB(1)<=NOT BA(1);
AB(2)<=NOT BA(2);
AB(3)<=NOT BA(4);
AB(4)<=BA(5);
AB(5)<='0';
ROM<=(S4 AND S5) OR BA(3);
RD5<=NOT BA(3);

CLK<=NOT (NOT CCTL AND PHI2 AND A_Bus(7) AND A_Bus(6));
RD<=NOT (PHI2 AND RW);

Bank_Address:    process (clk,A_bus)
    begin
        if    rising_edge(clk)    then
            BA<=A_Bus(5 downto 0);
        end if;
    end process;

tada :D

9% ukladu zajete ;)

2,870

(47 odpowiedzi, napisanych Fabryka - 8bit)

hehe ;) 8% ukladu zajete i crime busters lata :D

po malych optymalizacjach:

CS0<='1';
RD4<='1';
RD5<='1';
WR0<='1';
WR1<='1';

AB(3 downto 0)<=BA when s4='0' else "1111";
AB(5 downto 4)<="00";
ROM<=S4 AND S5;

CLK<=NOT (NOT CCTL AND PHI2);
RD<=NOT (PHI2 AND RW);

Bank_Address:    process (clk,DataIn)
    begin
        if    rising_edge(clk)    then
            BA<=DataIn(3 downto 0);
        end if;
    end process;
   
i wsio :D

2,871

(544 odpowiedzi, napisanych Fabryka - 8bit)

jak juz pisalem na aage - goraco zachecam do wyslania pw z adresem wysylkowym dla vbxe - wysylki do developerow mam juz za soba i rozsylam plytki w kolejnosci nadsylania adresow
ludzie ktorzy nazamawiali udziwnienia (typu zlacza katowe) beda czekac nieco dluzej, jako ze nie moge montowac tych plyt tasmowo

z mojej perspektywy najszybsza plytka do wyprodukowania i przetestowania to plytka dla XE w wersji NTSC - poniewaz jest kompletna, w wersji PAL musze lutowac i wylutowywac kwarc :/

2,872

(47 odpowiedzi, napisanych Fabryka - 8bit)

czynnik gamma widac w dowolnym kolorze soldermaski - niewatpliwie najlepiej widac go jesli ten kolor jest przezroczysty, ale to chyba nie o to w tym wszystkim chodzi ;)
najzabawniejsze jest to ze ostatnimi czasy czynnik gamma wystepuje tylko na plytkach ktore wezme do skladania prototypu, a pozostale wykonywane w takiej partii sa zazwyczaj ok
czyzby cos chcialo mi powiedziec "zmien wykonawce pcb"? :D

tak czy inaczej to znow wielkie dzieki dla Sebana, a co do ilosci pracy - to raczej hobbystycznie do tego podchodze, patrzac co faktycznie jestem w stanie zaemulowac tym moim cart'em
w tej chwili na tapecie jest xegs super cart (128k) i musze przyznac ze swoboda jaka ten projekt daje jest nadzwyczajna
xegs super cart zajmuje okolo 20% zasobow ukladu cpld

2,873

(47 odpowiedzi, napisanych Fabryka - 8bit)

w koncu mialem kwilke zeby pchanc to kawalek dalej - narazie to odapala sie "asteroids" :)
dzieki pozawracaniu dupska Sebanowi udalo sie ustalic co jest nie halo - wystapil czynnik Gamma (Zaxon wie co to za czynnik :D)

na http://ftp.pigwa.net/stuff/collections/ … -%20Games/ sa rowniez wieksze obrazy niz 8k - jesli ktos mogłby napisac jak sa mapowane, to chetnie je supportne ;)

2,874

(544 odpowiedzi, napisanych Fabryka - 8bit)

lopatologicznej brak, slowna jest na ostatniej stronie programmer's manual do fx 1.20

cala paczka wyjdzie w sobote/niedziele

2,875

(403 odpowiedzi, napisanych Scena - 8bit)

żenua ;)
a moze by tak temata zamknac?